Gerbang Logika NOR - Edukasi Elektronika | Electronics Engineering Solution and Education

Sunday 8 February 2015

Gerbang Logika NOR


Gerbang Logika NOR memiliki dua atau lebih saluran masukan (input) dan satu keluaran (output). Selain itu gerbang Logika NOR memiliki sifat output akan berlogika satu jika kedua nilai input pada gerbang NOR berlogika nol dan jika memiliki nilai satu pada salah satu input-nya maka output akan bernilai satu, misalnya A atau B menyatakan saluran masukkan (input) gerbang NOR yang saling bebas yang masing-masing hanya dapat berlogika satu atau nol dan Y menyatakan saluran keluarannya yang hanya dapat bernilai logika nol atau satu. Sedangkan tabel kebenaran dan gambar symbol dapat dilihat di bawah ini.



Salah satu Gerbang logika NOR yang mempunyai 2 buah input dan satu buah output adalah IC TTL 7402 dan IC CMOS 4001. Berikut ini konfigurasinya:

Secara Analogi Gerbang NOR dan rangkaian Ekuivalennya seperti gambar dibawah ini.

Silahkan Meng-Copy Semua postingan yang ada di dalam blog ini. Tapi jangan lupa cantumkan sumber sebagai bentuk dukungan terhadap anti plagiasi.

No comments:

Post a Comment