Tutorial Membuat Program Dasar Pada IC ATMEGA8535 untuk Menampilkan Angka Pada Seven Segment - Edukasi Elektronika | Electronics Engineering Solution and Education

Wednesday 24 February 2016

Tutorial Membuat Program Dasar Pada IC ATMEGA8535 untuk Menampilkan Angka Pada Seven Segment


Seven segment adalah sebuah piranti penampil untuk menampilkan angka desimal. Seven segment banyak Display digunakan dalam jam digital, Alat ukur elektronik, dan piranti elektronik yang lain. Seven segment display terdiri atas 8 LED yang disusun seperti tampak dalam Gambar 1 di bawah. Setiap LED diidentifikasi sebagai huruf a, b, c, d, e, f, g, yang dimulai dari huruf a di sebelah atas. Di sebelah kanan terdapat satu LED tambahan yang digunakan sebagai koma (dp).
Gambar 1. Bentuk Fisik dan layout 7 segment


Seven segment terdiri dari 2 jenis, yaitu common catode dan common anode. Gambar 2 dibawah ini menunjukkan perbedaannya.

Gambar 2. Common Cathode and Common Anode 7 Segment

Untuk menampilkan sebuah karakter, minimal 2 LED harus dinyalakan. Tabel 1 memperlihatkan kode heksadesimal untuk menampilkan angka 0 sampai 9. Dalam hal ini seven segment yang digunakan ada 2 buah, semuanya bertipe common anoda. Hal ini dikarenakan 7 segment common anoda mudah didapat dipasaran.

Berikut ini adalah gambar rangkaiannya :

Langkah-Langkah membuat program :
1. Buka code vision AVR.
2. Buatlah project baru dengan inisialisasi PORTA sebagai output dan PORTC juga sebagai output. sehingga pada program bagian inisialisasi PORTA dan PORTC terlihat sebagai berikut:

PORTA=0x00;
DDRA=0xFF; //porta sebagai output, 0b11111111, nilai awal 0
PORTC=0xFF;
DDRC=0xFF; //portc sebagai output, 0b11111111, nilai awal 1

3. Tuliskan dalam program utama untuk counter up sebagai berikut :

//Program counter UP
PORTA.1=1;
PORTC=0xC0;
delay_ms(1000);
PORTC=0xF9;
delay_ms(1000);
PORTC=0xA4;
delay_ms(1000);
PORTC=0xB0;
delay_ms(1000);
PORTC=0x99;
delay_ms(1000);
PORTC=0x92;
delay_ms(1000);
PORTC=0x82;
delay_ms(1000);
PORTC=0xF8;
delay_ms(1000);
PORTC=0x80;
delay_ms(1000); 
PORTC=0x90;
delay_ms(1000);

4. Download program seperti pada artikel sebelumnya. Amati display seven segment. Akan menunjukkan angka 0-9 secara urut.

5. Ganti Program counter up dengan program counter down dibawah ini :

// Program Counter Down
PORTA.1=1;
PORTC=0x90;
delay_ms(1000);
PORTC=0x80;
delay_ms(1000);
PORTC=0xF8;
delay_ms(1000);
PORTC=0x82;
delay_ms(1000);
PORTC=0x92;
delay_ms(1000);
PORTC=0x99;
delay_ms(1000);
PORTC=0xB0;
delay_ms(1000);
PORTC=0xA4;
delay_ms(1000);
PORTC=0xF9;
delay_ms(1000);
PORTC=0xC0;
delay_ms(1000);

6. Download program seperti pada artikel sebelumnya. Amati display seven segment. Akan menunjukkan angka 9-0 secara urut.

7. Lakukan eksperimen sampai anda paham mengenai pemrograman seven segment.

Untuk info harga dan belanja komponen yang diperlukan Klik Disini.

No comments:

Post a Comment